dev:web

This is an old revision of the document!


Web development

Write your site in a markdown language and generate static HTML files instead of editing them in an online CMS. Reduces attack surface, improves site loading time – at the expense of dynamic content abilities.

  • Hugo – written in Go
  • Zola – written in Rust
  • Jekyll
  • Publii – desktop CMS generating static sites. Uses Handlebars.js to render its themes.

see also: awesome-selfhosted#static-site-generators

  • Concrete5WYSIWYG live website builder CMS.
  • Wordpress
    • Gutenberg plugin allows WYSIWYG content site building
  • Cypress – JS-based end-to-end testing for anything that runs in a browser.
  • Last modified: 2021-03-25 10:37